Development of FPGA Based System for Neutron Flux Monitoring in Fast Breeder Reactors

M. Sivaramakrishna, P. Chellapandi, S.V.G. Ravindranath

Abstract


The project aims to calculate the frequency of the neutron flux by monitoring the signal from neutron detector from shutdown to full power over 10 decades. This neutron flux signal is input to the FPGA based MODULE. A mathematical relationship has been established between the neutron flux (frequency of the neutrons) and the area under the signal. Variable amplitude and occurrence have been accounted for. White noise has also been added and tested for.  VHDL has been used to simplify the otherwise complicated logic gate design. Mathematical modeling has been used as it is the most accurate of the available methods.

Index Terms --  Neutron flux monitoring, area, pulses


Full Text: PDF
Download the IISTE publication guideline!

To list your conference here. Please contact the administrator of this platform.

Paper submission email: ISDE@iiste.org

ISSN (Paper)2222-1727 ISSN (Online)2222-2871

1Please add our address "contact@iiste.org" into your email contact list.

This journal follows ISO 9001 management standard and licensed under a Creative Commons Attribution 3.0 License.

Copyright © www.iiste.org